_images/logo.svg
Sourcecode on GitHub Code license Documentation - Read Now! Documentation License Documentation License
PyPI - Tag PyPI - Status PyPI - Python Version
GitHub Workflow - Build and Test Status Libraries.io status for latest release Codacy - Quality Codacy - Line Coverage Codecov - Branch Coverage

The pyEDAA.CLITool Documentation

Unified interfaces to execute EDA tools via CLI from Python, agnostic to any specific configuration format/object.

Main Goals

  • Provide a pythonic solution to construct command line calls for EDA tools based on pyTooling.CLIAbstraction

  • Launch CLI tools and connect to STDIN, STDOUT, STDERR for realtime output post-processing.

Features

  • Support multiple native platforms: Linux, macOS, Windows.

  • Support nested platforms like MSYS2 with MinGW32, MinGW64, UCRT64, Clang64, …

  • Find CLI programs either in PATH or specify an exact installation location.

  • Generate CLI options in correct order.

  • Generate correctly escaped CLI options.

Consumers

This layer is used by:

News

Feb. 2022 - Supporting more Tools

  • Added more CLI abstraction.

  • Updated to support CLIAbstraction v0.4.0.

Jan. 2022 - GHDL, GTKWave, ModelSim

  • Added CLI abstraction for GHDL, GTKWave and ModelSim.

  • Prepared structure for other vendors and tools.

Dec. 2021 - Extracted CLITool from pyIPCMI

  • The EDA tool abstraction has been extracted from pyIPCMI.

Contributors

License

This Python package (source code) is licensed under Apache License 2.0.
The accompanying documentation is licensed under Creative Commons - Attribution 4.0 (CC-BY 4.0).